Welcome![Sign In][Sign Up]
Location:
Search - pwm verilog

Search list

[Other resourcepwmled

Description: 一个霹雳灯的Verilog源程序,用PWM原理实现,产生了LED灯的渐弱效果-a thunderbolt lights Verilog source files, using PWM principle realized, LED lights have a gradual effect of the weak
Platform: | Size: 510879 | Author: 张伟 | Hits:

[Other resourcepwm_VerilogHDLV1.1

Description: 本软件在CPLD上实现数字PWM控制,用Verilog HDL语言编写,在MAX PLUS II调试成功,可用-the software on the CPLD digital PWM control, using Verilog HDL language, MAX PLUS II in debugging success can be
Platform: | Size: 232453 | Author: wjz | Hits:

[Other resourcecpldPWM

Description: verilog HDL 编写的PWM,是初学CPLD者入门Z资源,epm7128stc100-10-verilog HDL prepared by the PWM, is a novice CPLD Getting Started Z resources, epm7128stc100-10
Platform: | Size: 236956 | Author: 章风 | Hits:

[Other resourceSource

Description: PWM的Verilog HDL代码用于FPGA
Platform: | Size: 2390 | Author: 张猛蛟 | Hits:

[VHDL-FPGA-VerilogVHDL 的实例程序,共44个

Description: 经典VHDL 的实例程序,共44个!要下载的尽快-classic examples of VHDL, with a total of 44! To download as soon as possible
Platform: | Size: 43008 | Author: 立立 | Hits:

[VHDL-FPGA-VerilogPWM

Description: 程序PWM_rate1可以输出占空比可调的方波,并把占空比用数码管显示出来。-verilog pwm
Platform: | Size: 4096 | Author: xiadafang | Hits:

[source in ebookPWM

Description: 应用verilog.HDL编写的PWM波的生成程序-Generation of application of verilog.HDL to prepare PWM wave
Platform: | Size: 4211712 | Author: 王明亮 | Hits:

[VHDL-FPGA-VerilogPWM

Description: 主要是利用verilog语言实现PWM,并对其处理,易于采集和对比,-The main PWM is implemented using Verilog language, and the processing, easy collection and comparison,
Platform: | Size: 1024 | Author: 阿坤 | Hits:

[Otherpwm.tar

Description: PWM Timer Verilog Design
Platform: | Size: 317440 | Author: richman | Hits:

[VHDL-FPGA-Verilogpwm

Description: VERILOG 学习第一课,输出一定占空比方波-VERILOG learn the first lesson, a certain duty cycle square wave output
Platform: | Size: 243712 | Author: YSH | Hits:

[Software EngineeringVerilog-implementation-PWM-

Description: verilog生成PWM的硬件设计-The development of a use Verilog implementation PWM hardware instance
Platform: | Size: 13312 | Author: 王源 | Hits:

[VHDL-FPGA-VerilogPWM

Description: System Verilog语言,功能为实现PWM波形-System Verilog
Platform: | Size: 243712 | Author: Neddy | Hits:

[VHDL-FPGA-VerilogPWM

Description: 在verilog开发环境下针对pwm信号的占空比的调节的编写调试!-In the development environment for verilog pwm signal duty cycle regulated write debugging!
Platform: | Size: 526336 | Author: 邓智友 | Hits:

[OtherPWM

Description: 自己编写的verilog语言 PWM实现的一种方法希望有用-verilog PWM
Platform: | Size: 179200 | Author: john | Hits:

[OtherPWM

Description: 用FPGA,NOIS II和verilog一起输出矩形波-Output the rectangular wave
Platform: | Size: 22497280 | Author: 李翠莲 | Hits:

[Embeded-SCM Develop至简设计法--特效呼吸灯

Description: 特效呼吸灯 工程说明 本模块的功能要求是,实现8个灯前1s慢慢变暗,后1s慢慢变亮,不断重复以上操作。 案例补充说明 呼吸灯效果的LED每时每刻都在以不同的功率工作,以不同的亮度值拟合亮度变化,从而形成非常平顺柔和的灯光特效。亮度变化实际上是通过占空比的变化周期来确定的。占空比通过cnt2的计数来变换,而每次变化为1ms,因此PWM的周期是1ms。(Special effects breathing lamp Engineering description The functional requirements of this module is to achieve the 8 lights before 1s slowly darken, after the 1s slowly brighten, and constantly repeat the above operation. Case Supplement The LED of the breathing lamp works at different power at different times, fitting brightness changes with different brightness values, so as to form very smooth and soft lighting effects. The brightness changes are actually determined by the duty cycle of the cycle. The duty cycle is changed by the count of CNT2, and each time the change is 1ms, the period of the PWM is 1ms.)
Platform: | Size: 23552 | Author: 明德扬科教 | Hits:

[OtherPWM

Description: 基于Verilog语言的pwm,按键控制增减(PWM based on Verilog language, key control addition and subtraction)
Platform: | Size: 2325504 | Author: qwer_sun | Hits:

[Windows DevelopPWM

Description: 用Verilog实现的脉冲宽度调制程序,在quartus平台上测试成功。(Using Verilog implementation of pulse width modulation, in quartus platform test successfully.)
Platform: | Size: 151552 | Author: 莫五张 | Hits:

[VHDL-FPGA-VerilogSingle_pwm

Description: 这是一个生成pwm波的程序,使用按键改变占空比的大小,通过增加按键的消抖程序能够精确控制占空比的变化。(This is a program to generate pwm wave, use the button to change the size of the duty cycle, by increasing the key of the shaking program can accurately control the duty cycle changes.)
Platform: | Size: 1002496 | Author: FPGAWY1 | Hits:

[VHDL-FPGA-VerilogExp4TZ

Description: 用于实现LED的呼吸灯,通过FPGA调试成功,用了PWM的原理(About the LED of PWM)
Platform: | Size: 3257344 | Author: xlxw | Hits:
« 1 2 3 4 56 7 »

CodeBus www.codebus.net